ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ (триггСрная систСма) β€” класс элСктронных устройств, ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‰ΠΈΡ… ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒΡŽ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π½Π°Ρ…ΠΎΠ΄ΠΈΡ‚ΡŒΡΡ Π² ΠΎΠ΄Π½ΠΎΠΌ ΠΈΠ· Π΄Π²ΡƒΡ… устойчивых состояний ΠΈ Ρ‡Π΅Ρ€Π΅Π΄ΠΎΠ²Π°Ρ‚ΡŒ ΠΈΡ… ΠΏΠΎΠ΄ воздСйствиСм Π²Π½Π΅ΡˆΠ½ΠΈΡ… сигналов. КаТдоС состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π»Π΅Π³ΠΊΠΎ распознаётся ΠΏΠΎ Π·Π½Π°Ρ‡Π΅Π½ΠΈΡŽ Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ напряТСния. По Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€Ρƒ дСйствия Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ относятся ΠΊ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΌ устройствам β€” ΠΈΡ… Π°ΠΊΡ‚ΠΈΠ²Π½Ρ‹Π΅ элСмСнты (транзисторы, Π»Π°ΠΌΠΏΡ‹) Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‚ Π² ΠΊΠ»ΡŽΡ‡Π΅Π²ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅, Π° смСна состояний длится ΠΎΡ‡Π΅Π½ΡŒ ΠΊΠΎΡ€ΠΎΡ‚ΠΊΠΎΠ΅ врСмя.

ΠžΡ‚Π»ΠΈΡ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΎΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΊΠ°ΠΊ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ устройства являСтся свойство запоминания Π΄Π²ΠΎΠΈΡ‡Π½ΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Под ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΏΠΎΠ΄Ρ€Π°Π·ΡƒΠΌΠ΅Π²Π°ΡŽΡ‚ ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ ΠΎΡΡ‚Π°Π²Π°Ρ‚ΡŒΡΡ Π² ΠΎΠ΄Π½ΠΎΠΌ ΠΈΠ· Π΄Π²ΡƒΡ… состояний ΠΈ послС прСкращСния дСйствия ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰Π΅Π³ΠΎ сигнала. ΠŸΡ€ΠΈΠ½ΡΠ² ΠΎΠ΄Π½ΠΎ ΠΈΠ· состояний Π·Π° Β«1Β», Π° Π΄Ρ€ΡƒΠ³ΠΎΠ΅ Π·Π° Β«0Β», ΠΌΠΎΠΆΠ½ΠΎ ΡΡ‡ΠΈΡ‚Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ Ρ…Ρ€Π°Π½ΠΈΡ‚ (ΠΏΠΎΠΌΠ½ΠΈΡ‚) ΠΎΠ΄ΠΈΠ½ разряд числа, записанного Π² Π΄Π²ΠΎΠΈΡ‡Π½ΠΎΠΌ ΠΊΠΎΠ΄Π΅.

ΠŸΡ€ΠΈ ΠΈΠ·Π³ΠΎΡ‚ΠΎΠ²Π»Π΅Π½ΠΈΠΈ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡŽΡ‚ΡΡ прСимущСствСнно ΠΏΠΎΠ»ΡƒΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ²Ρ‹Π΅ ΠΏΡ€ΠΈΠ±ΠΎΡ€Ρ‹ (ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ биполярныС ΠΈ ΠΏΠΎΠ»Π΅Π²Ρ‹Π΅ транзисторы), Π² ΠΏΡ€ΠΎΡˆΠ»ΠΎΠΌ β€” элСктромагнитныС Ρ€Π΅Π»Π΅, элСктронныС Π»Π°ΠΌΠΏΡ‹. Π’ настоящСС врСмя логичСскиС схСмы, Π² Ρ‚ΠΎΠΌ числС с использованиСм Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ², ΡΠΎΠ·Π΄Π°ΡŽΡ‚ Π² ΠΈΠ½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… срСдах Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΏΠΎΠ΄ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ логичСскиС ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Π΅ схСмы (ΠŸΠ›Π˜Π‘). Π˜ΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ, Π² основном, Π² Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ Ρ‚Π΅Ρ…Π½ΠΈΠΊΠ΅ для ΠΎΡ€Π³Π°Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… систСм: рСгистров, счётчиков, процСссоров, ΠžΠ—Π£.

Π‘ΠΎΠ΄Π΅Ρ€ΠΆΠ°Π½ΠΈΠ΅

Π˜ΡΡ‚ΠΎΡ€ΠΈΡ

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

Π Π°Π·Ρ€Ρ‹Π²Π½Ρ‹Π΅ характСристики элСктронных Π»Π°ΠΌΠΏ, Π½Π° ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… основано дСйствиС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ², Π²ΠΏΠ΅Ρ€Π²Ρ‹Π΅ ΠΏΠΎΠ΄ Π½Π°Π·Π²Π°Π½ΠΈΠ΅ΠΌ Β«ΠΊΠ°Ρ‚ΠΎΠ΄Π½ΠΎΠ΅ Ρ€Π΅Π»Π΅Β» Π±Ρ‹Π»ΠΈ описаны М. А. Π‘ΠΎΠ½Ρ‡-Π‘Ρ€ΡƒΠ΅Π²ΠΈΡ‡Π΅ΠΌ Π² 1918 Π³. [1] ΠŸΡ€Π°ΠΊΡ‚ΠΈΡ‡Π΅ΡΠΊΠ°Ρ схСма Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π±Ρ‹Π»Π° ΠΎΠΏΡƒΠ±Π»ΠΈΠΊΠΎΠ²Π°Π½Π° 5 августа 1920 Π³ΠΎΠ΄Π° Π£. Π“. Икклзом (Π°Π½Π³Π».) русск. ΠΈ Π€. Π£. Π”ΠΆΠΎΡ€Π΄Π°Π½ΠΎΠΌ (Π°Π½Π³Π».) русск. Π² ΠΏΠ°Ρ‚Π΅Π½Ρ‚Π΅ Π’Π΅Π»ΠΈΠΊΠΎΠ±Ρ€ΠΈΡ‚Π°Π½ΠΈΠΈ β„– 148582 заявлСнном 21 июня 1918 Π³. [2] ΠΈ Π² ΡΡ‚Π°Ρ‚ΡŒΠ΅ Β«ΠŸΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰Π΅Π΅ Ρ€Π΅Π»Π΅, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‰Π΅Π΅ трёхэлСктродныС Π²Π°ΠΊΡƒΡƒΠΌΠ½Ρ‹Π΅ Π»Π°ΠΌΠΏΡ‹Β» [3] ΠΎΡ‚ 19 сСнтября 1919 Π³ΠΎΠ΄Π°.

ΠžΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΡ

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ (Π±ΠΈΡΡ‚Π°Π±ΠΈΠ»ΡŒΠ½Ρ‹ΠΉ ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠ²ΠΈΠ±Ρ€Π°Ρ‚ΠΎΡ€ [4] ) β€” это Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚, ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΠΉ нСсколько Π²Ρ…ΠΎΠ΄ΠΎΠ² ΠΈ 2 Π²Ρ‹Ρ…ΠΎΠ΄Π°.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ β€” это устройство ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° с двумя устойчивыми состояниями равновСсия, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½ΠΎΠ΅ для записи ΠΈ хранСния ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Под дСйствиСм Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°Ρ‚ΡŒΡΡ ΠΈΠ· ΠΎΠ΄Π½ΠΎΠ³ΠΎ устойчивого состояния Π² Π΄Ρ€ΡƒΠ³ΠΎΠ΅. ΠŸΡ€ΠΈ этом напряТСниС Π½Π° Π΅Π³ΠΎ Π²Ρ‹Ρ…ΠΎΠ΄Π΅ скачкообразно измСняСтся.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Π°ΠΌΠΈ Π½Π°Π·Ρ‹Π²Π°ΡŽΡ‚ [5] Ρ‚Π°ΠΊΠΈΠ΅ логичСскиС устройства, Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Π΅ сигналы ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ сигналами Π½Π° Π²Ρ…ΠΎΠ΄Π°Ρ…, Π½ΠΎ ΠΈ прСдысториСй ΠΈΡ… Ρ€Π°Π±ΠΎΡ‚Ρ‹, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ состояниСм элСмСнтов памяти.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ Π½Π΅ являСтся логичСским элСмСнтом ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ уровня, Π° сам состоит ΠΈΠ· логичСских элСмСнтов ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ уровня β€” ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΎΡ€ΠΎΠ² ΠΈΠ»ΠΈ логичСских Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ. По ΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΡŽ ΠΊ логичСским элСмСнтам ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ уровня Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ являСтся логичСским устройством Π²Ρ‚ΠΎΡ€ΠΎΠ³ΠΎ уровня.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ β€” ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠ΅Π΅ устройство, Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡŽΡ‰Π΅Π΅ Π»ΠΎΠ³ΠΈΡ‡Π΅ΡΠΊΡƒΡŽ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΡŽ с ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠΉ связью, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠ΅Π΅ устройство ΠΊΠΈΠ±Π΅Ρ€Π½Π΅Ρ‚ΠΈΠΊΠΈ.

N-ΠΈΡ‡Π½Ρ‹ΠΉ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ β€” устройство (элСмСнтарная ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°Π΅ΠΌΠ°Ρ ячСйка памяти, ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°Ρ‚Π΅Π»ΡŒ с N устойчивыми полоТСниями), ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ ΠΈΠΌΠ΅Π΅Ρ‚ N устойчивых состояний ΠΈ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ ΠΈΠ· любого состояния Π² любоС Π΄Ρ€ΡƒΠ³ΠΎΠ΅ состояниС.

ΠšΠ»Π°ΡΡΠΈΡ„ΠΈΠΊΠ°Ρ†ΠΈΡ

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΏΠΎΠ΄Ρ€Π°Π·Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π½Π° Π΄Π²Π΅ большиС Π³Ρ€ΡƒΠΏΠΏΡ‹ β€” динамичСскиС ΠΈ статичСскиС. Названы ΠΎΠ½ΠΈ Ρ‚Π°ΠΊ ΠΏΠΎ способу прСдставлСния Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ.

ДинамичСский Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ прСдставляСт собой управляСмый Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€, ΠΎΠ΄Π½ΠΎ ΠΈΠ· состояний ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ (Π΅Π΄ΠΈΠ½ΠΈΡ‡Π½ΠΎΠ΅) характСризуСтся Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ΠΌ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ Π½Π΅ΠΏΡ€Π΅Ρ€Ρ‹Π²Π½ΠΎΠΉ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ² ΠΎΠΏΡ€Π΅Π΄Π΅Π»Ρ‘Π½Π½ΠΎΠΉ частоты, Π° Π΄Ρ€ΡƒΠ³ΠΎΠ΅ (Π½ΡƒΠ»Π΅Π²ΠΎΠ΅) β€” отсутствиСм Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹Ρ… ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ². Π‘ΠΌΠ΅Π½Π° состояний производится внСшними ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°ΠΌΠΈ (рис. 3). ДинамичСскиС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ Π² настоящСС врСмя ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ Ρ€Π΅Π΄ΠΊΠΎ.

К статичСским Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°ΠΌ относят устройства, ΠΊΠ°ΠΆΠ΄ΠΎΠ΅ состояниС ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… характСризуСтся Π½Π΅ΠΈΠ·ΠΌΠ΅Π½Π½Ρ‹ΠΌΠΈ уровнями Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ напряТСния (Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹ΠΌΠΈ ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»Π°ΠΌΠΈ): высоким β€” Π±Π»ΠΈΠ·ΠΊΠΈΠΌ ΠΊ Π½Π°ΠΏΡ€ΡΠΆΠ΅Π½ΠΈΡŽ питания ΠΈ Π½ΠΈΠ·ΠΊΠΈΠΌ β€” ΠΎΠΊΠΎΠ»ΠΎ нуля. БтатичСскиС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΏΠΎ способу прСдставлСния Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ часто Π½Π°Π·Ρ‹Π²Π°ΡŽΡ‚ ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹ΠΌΠΈ.

БтатичСскиС (ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Π΅) Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹, Π² свою ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ, ΠΏΠΎΠ΄Ρ€Π°Π·Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π½Π° Π΄Π²Π΅ Π½Π΅Ρ€Π°Π²Π½Ρ‹Π΅ ΠΏΠΎ практичСскому Π·Π½Π°Ρ‡Π΅Π½ΠΈΡŽ Π³Ρ€ΡƒΠΏΠΏΡ‹ β€” симмСтричныС ΠΈ нСсиммСтричныС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹. Оба класса Ρ€Π΅Π°Π»ΠΈΠ·ΡƒΡŽΡ‚ΡΡ Π½Π° двухкаскадном Π΄Π²ΡƒΡ…ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΎΡ€Π½ΠΎΠΌ усилитСлС с ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠΉ связью, Π° Π½Π°Π·Π²Π°Π½ΠΈΠ΅ΠΌ своим ΠΎΠ½ΠΈ обязаны способам ΠΎΡ€Π³Π°Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… элСктричСских связСй ΠΌΠ΅ΠΆΠ΄Ρƒ элСмСнтами схСмы.

Π‘ΠΈΠΌΠΌΠ΅Ρ‚Ρ€ΠΈΡ‡Π½Ρ‹Π΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΎΡ‚Π»ΠΈΡ‡Π°Π΅Ρ‚ симмСтрия схСмы ΠΈ ΠΏΠΎ структурС, ΠΈ ΠΏΠΎ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ элСмСнтов ΠΎΠ±ΠΎΠΈΡ… ΠΏΠ»Π΅Ρ‡. Для нСсиммСтричных Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€Π½Π° Π½Π΅ΠΈΠ΄Π΅Π½Ρ‚ΠΈΡ‡Π½ΠΎΡΡ‚ΡŒ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² элСмСнтов ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹Ρ… каскадов, Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΈ связСй ΠΌΠ΅ΠΆΠ΄Ρƒ Π½ΠΈΠΌΠΈ.

Π‘ΠΈΠΌΠΌΠ΅Ρ‚Ρ€ΠΈΡ‡Π½Ρ‹Π΅ статичСскиС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‚ ΠΎΡΠ½ΠΎΠ²Π½ΡƒΡŽ массу Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ², ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… Π² соврСмСнной радиоэлСктронной Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Π΅. Π‘Ρ…Π΅ΠΌΡ‹ симмСтричных Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² Π² ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠ΅ΠΉ Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ (2Ρ…2Π˜Π›Π˜ΠΠ•) ΠΏΠΎΠΊΠ°Π·Π°Π½Ρ‹ Π½Π° рис. 4.

Основной ΠΈ Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ ΠΎΠ±Ρ‰ΠΈΠΉ классификационный ΠΏΡ€ΠΈΠ·Π½Π°ΠΊ β€” Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΉ β€” позволяСт ΡΠΈΡΡ‚Π΅ΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ статичСскиС симмСтричныС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΏΠΎ способу ΠΎΡ€Π³Π°Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ логичСских связСй ΠΌΠ΅ΠΆΠ΄Ρƒ Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄Π°ΠΌΠΈ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π² ΠΎΠΏΡ€Π΅Π΄Π΅Π»Ρ‘Π½Π½Ρ‹Π΅ дискрСтныС ΠΌΠΎΠΌΠ΅Π½Ρ‚Ρ‹ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ Π΄ΠΎ ΠΈ послС появлСния Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов. По этой классификации Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΡŽΡ‚ΡΡ числом логичСских Π²Ρ…ΠΎΠ΄ΠΎΠ² ΠΈ ΠΈΡ… Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΌ Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ΠΌ (рис. 5).

Вторая классификационная схСма, нСзависимая ΠΎΡ‚ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΉ, Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΠ΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΏΠΎ способу Π²Π²ΠΎΠ΄Π° ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΈ ΠΎΡ†Π΅Π½ΠΈΠ²Π°Π΅Ρ‚ ΠΈΡ… ΠΏΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ обновлСния Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΌΠΎΠΌΠ΅Π½Ρ‚Π° смСны ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ Π½Π° Π²Ρ…ΠΎΠ΄Π°Ρ… (рис. 6).

КаТдая ΠΈΠ· систСм классификации Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΠ΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΏΠΎ Ρ€Π°Π·Π½Ρ‹ΠΌ показатСлям ΠΈ поэтому дополняСт ΠΎΠ΄Π½Π° Π΄Ρ€ΡƒΠ³ΡƒΡŽ. К ΠΏΡ€ΠΈΠΌΠ΅Ρ€Ρƒ, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ RS-Ρ‚ΠΈΠΏΠ° ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ Π² синхронном ΠΈ асинхронном исполнСнии.

Асинхронный Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ измСняСт своё состояниС нСпосрСдствСнно Π² ΠΌΠΎΠΌΠ΅Π½Ρ‚ появлСния ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰Π΅Π³ΠΎ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ сигнала(ΠΎΠ²), с Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΎΠΉ Ρ€Π°Π²Π½ΠΎΠΉ суммС Π·Π°Π΄Π΅Ρ€ΠΆΠ΅ΠΊ Π½Π° элСмСнтах, ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… Π΄Π°Π½Π½Ρ‹ΠΉ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€.

Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠ½Π½Ρ‹Π΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ Ρ€Π΅Π°Π³ΠΈΡ€ΡƒΡŽΡ‚ Π½Π° ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ сигналы Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΏΡ€ΠΈ Π½Π°Π»ΠΈΡ‡ΠΈΠΈ ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰Π΅Π³ΠΎ сигнала Π½Π° Ρ‚Π°ΠΊ Π½Π°Π·Ρ‹Π²Π°Π΅ΠΌΠΎΠΌ Π²Ρ…ΠΎΠ΄Π΅ синхронизации Π‘ (ΠΎΡ‚ Π°Π½Π³Π». clock). Π­Ρ‚ΠΎΡ‚ Π²Ρ…ΠΎΠ΄ Ρ‚Π°ΠΊΠΆΠ΅ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°ΡŽΡ‚ Ρ‚Π΅Ρ€ΠΌΠΈΠ½ΠΎΠΌ Β«Ρ‚Π°ΠΊΡ‚Β». Π’Π°ΠΊΠΈΠ΅ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ сигналы Π½Π°Π·Ρ‹Π²Π°ΡŽΡ‚ синхронными. Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠ½Π½Ρ‹Π΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ Π² свою ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ ΠΏΠΎΠ΄Ρ€Π°Π·Π΄Π΅Π»ΡΡŽΡ‚ Π½Π° Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ со статичСским ΠΈ с динамичСским ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ ΠΏΠΎ Π²Ρ…ΠΎΠ΄Ρƒ синхронизации Π‘.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ со статичСским ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ Π²ΠΎΡΠΏΡ€ΠΈΠ½ΠΈΠΌΠ°ΡŽΡ‚ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ сигналы ΠΏΡ€ΠΈ ΠΏΠΎΠ΄Π°Ρ‡Π΅ Π½Π° Π²Ρ…ΠΎΠ΄ Π‘ логичСской Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹ (прямой Π²Ρ…ΠΎΠ΄) ΠΈΠ»ΠΈ логичСского нуля (инвСрсный Π²Ρ…ΠΎΠ΄).

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ с динамичСским ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ Π²ΠΎΡΠΏΡ€ΠΈΠ½ΠΈΠΌΠ°ΡŽΡ‚ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ сигналы ΠΏΡ€ΠΈ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½ΠΈΠΈ (ΠΏΠ΅Ρ€Π΅ΠΏΠ°Π΄Π΅) сигнала Π½Π° Π²Ρ…ΠΎΠ΄Π΅ Π‘ ΠΎΡ‚ 0 ΠΊ 1 (прямой динамичСский Π‘-Π²Ρ…ΠΎΠ΄) ΠΈΠ»ΠΈ ΠΎΡ‚ 1 ΠΊ 0 (инвСрсный динамичСский Π‘-Π²Ρ…ΠΎΠ΄). Π’Π°ΠΊΠΆΠ΅ встрСчаСтся Π½Π°Π·Π²Π°Π½ΠΈΠ΅ Β«Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ управляСмый Ρ„Ρ€ΠΎΠ½Ρ‚ΠΎΠΌΒ».

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ со слоТной Π»ΠΎΠ³ΠΈΠΊΠΎΠΉ Π±Ρ‹Π²Π°ΡŽΡ‚ Ρ‚Π°ΠΊΠΆΠ΅ ΠΎΠ΄Π½ΠΎ- ΠΈ двухступСнчатыС. Π’ этих Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°Ρ… наряду с синхронными сигналами ΠΏΡ€ΠΈΡΡƒΡ‚ΡΡ‚Π²ΡƒΡŽΡ‚ ΠΈ асинхронныС. Π’Π°ΠΊΠΎΠΉ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΡ‘Π½ Π½Π° рис. 1, Π²Π΅Ρ€Ρ…Π½ΠΈΠΉ (S) ΠΈ Π½ΠΈΠΆΠ½ΠΈΠΉ (R) Π²Ρ…ΠΎΠ΄Π½Ρ‹Π΅ сигналы ΡΠ²Π»ΡΡŽΡ‚ΡΡ асинхронными.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Π½Ρ‹Π΅ схСмы ΠΊΠ»Π°ΡΡΠΈΡ„ΠΈΡ†ΠΈΡ€ΡƒΡŽΡ‚ Ρ‚Π°ΠΊΠΆΠ΅ ΠΏΠΎ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΌ ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΠ°ΠΌ:

Π‘Π°Π·ΠΎΠ²Ρ‹Π΅ понятия

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ β€” это Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°ΡŽΡ‰ΠΈΠΉ элСмСнт с двумя (ΠΈΠ»ΠΈ Π±ΠΎΠ»Π΅Π΅) устойчивыми состояниями, ΠΈΠ·ΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… происходит ΠΏΠΎΠ΄ дСйствиСм Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов ΠΈ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для хранСния ΠΎΠ΄Π½ΠΎΠ³ΠΎ Π±ΠΈΡ‚Π° ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ Π»ΠΎΠ³. 0 ΠΈΠ»ΠΈ Π»ΠΎΠ³. 1.

ВсС разновидности Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‚ собой элСмСнтарный Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚, Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰ΠΈΠΉ собствСнно элСмСнт памяти (ЭП) ΠΈ ΠΊΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΠΎΠ½Π½ΡƒΡŽ схСму (КБ), которая ΠΌΠΎΠΆΠ΅Ρ‚ Π½Π°Π·Ρ‹Π²Π°Ρ‚ΡŒΡΡ схСмой управлСния ΠΈΠ»ΠΈ Π²Ρ…ΠΎΠ΄Π½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΎΠΉ (рис. 7).

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

Π’ Π³Ρ€Π°Ρ„Π΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° каТдая Π²Π΅Ρ€ΡˆΠΈΠ½Π° Π³Ρ€Π°Ρ„Π° соСдинСна со всСми Π΄Ρ€ΡƒΠ³ΠΈΠΌΠΈ Π²Π΅Ρ€ΡˆΠΈΠ½Π°ΠΌΠΈ, ΠΏΡ€ΠΈ этом ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Ρ‹ ΠΎΡ‚ Π²Π΅Ρ€ΡˆΠΈΠ½Ρ‹ ΠΊ Π²Π΅Ρ€ΡˆΠΈΠ½Π΅ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Ρ‹ Π² ΠΎΠ±Π΅ стороны (двухсторонниС). Π“Ρ€Π°Ρ„ Π΄Π²ΠΎΠΈΡ‡Π½ΠΎΠ³ΠΎ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° β€” Π΄Π²Π΅ Ρ‚ΠΎΡ‡ΠΊΠΈ соСдинённыС ΠΎΡ‚Ρ€Π΅Π·ΠΊΠΎΠΌ прямой Π»ΠΈΠ½ΠΈΠΈ, Ρ‚Ρ€ΠΎΠΈΡ‡Π½ΠΎΠ³ΠΎ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° β€” Ρ‚Ρ€Π΅ΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΈΠΊ, Ρ‡Π΅Ρ‚Π²Π΅Ρ€ΠΈΡ‡Π½ΠΎΠ³ΠΎ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° β€” ΠΊΠ²Π°Π΄Ρ€Π°Ρ‚ с диагоналями, пятСричного Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° β€” ΠΏΡΡ‚ΠΈΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΈΠΊ с ΠΏΠ΅Π½Ρ‚Π°Π³Ρ€Π°ΠΌΠΌΠΎΠΉ ΠΈ Ρ‚. Π΄. ΠŸΡ€ΠΈ N=1 Π³Ρ€Π°Ρ„ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° выроТдаСтся Π² ΠΎΠ΄Π½Ρƒ Ρ‚ΠΎΡ‡ΠΊΡƒ, Π² ΠΌΠ°Ρ‚Π΅ΠΌΠ°Ρ‚ΠΈΠΊΠ΅ Π΅ΠΌΡƒ соотвСтствуСт унарная Π΅Π΄ΠΈΠ½ΠΈΡ†Π° ΠΈΠ»ΠΈ ΡƒΠ½Π°Ρ€Π½Ρ‹ΠΉ ноль, Π° Π² элСктроникС β€” монтаТная Β«1Β» ΠΈΠ»ΠΈ ΠΌΠΎΠ½Ρ‚Π°ΠΆΠ½Ρ‹ΠΉ Β«0Β», Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠ΅Π΅ ΠŸΠ—Π£. УстойчивыС состояния ΠΈΠΌΠ΅ΡŽΡ‚ Π½Π° Π³Ρ€Π°Ρ„Π΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΡƒΡŽ ΠΏΠ΅Ρ‚Π»ΡŽ, которая ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ ΠΏΡ€ΠΈ снятии ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… сигналов Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ остаётся Π² установлСнном состоянии.

БостояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° опрСдСляСтся сигналами Π½Π° прямом ΠΈ инвСрсном Π²Ρ‹Ρ…ΠΎΠ΄Π°Ρ…. ΠŸΡ€ΠΈ ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΌ ΠΊΠΎΠ΄ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ (позитивная Π»ΠΎΠ³ΠΈΠΊΠ°) высокий ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ напряТСния Π½Π° прямом Π²Ρ‹Ρ…ΠΎΠ΄Π΅ ΠΎΡ‚ΠΎΠ±Ρ€Π°ΠΆΠ°Π΅Ρ‚ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π»ΠΎΠ³. 1 (состояниС = 1), Π° Π½ΠΈΠ·ΠΊΠΈΠΉ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ β€” Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π»ΠΎΠ³. 0 (состояниС = 0). ΠŸΡ€ΠΈ ΠΎΡ‚Ρ€ΠΈΡ†Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠΌ ΠΊΠΎΠ΄ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ (нСгативная Π»ΠΎΠ³ΠΈΠΊΠ°) высокому ΡƒΡ€ΠΎΠ²Π½ΡŽ (Π½Π°ΠΏΡ€ΡΠΆΠ΅Π½ΠΈΡŽ) соотвСтствуСт логичСскоС Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Β«0Β», Π° Π½ΠΈΠ·ΠΊΠΎΠΌΡƒ ΡƒΡ€ΠΎΠ²Π½ΡŽ (Π½Π°ΠΏΡ€ΡΠΆΠ΅Π½ΠΈΡŽ) соотвСтствуСт логичСскоС Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Β«1Β».

ИзмСнСниС состояния Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° (Π΅Π³ΠΎ ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ ΠΈΠ»ΠΈ запись) обСспСчиваСтся внСшними сигналами ΠΈ сигналами ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠΉ связи, ΠΏΠΎΡΡ‚ΡƒΠΏΠ°ΡŽΡ‰ΠΈΠΌΠΈ с Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠ² Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π½Π° Π²Ρ…ΠΎΠ΄Ρ‹ схСмы управлСния (ΠΊΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠΉ схСмы ΠΈΠ»ΠΈ Π²Ρ…ΠΎΠ΄Π½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ). ΠžΠ±Ρ‹Ρ‡Π½ΠΎ внСшниС сигналы, ΠΊΠ°ΠΊ ΠΈ Π²Ρ…ΠΎΠ΄Ρ‹ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°, ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°ΡŽΡ‚ латинскими Π±ΡƒΠΊΠ²Π°ΠΌΠΈ R, S, T, C, D, V ΠΈ Π΄Ρ€. Π’ ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠΈΡ… схСмах Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Π°Ρ схСма управлСния (КБ) ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΡ‚ΡΡƒΡ‚ΡΡ‚Π²ΠΎΠ²Π°Ρ‚ΡŒ. ΠŸΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Π΅ свойства Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ΡΡ ΠΈΡ… Π²Ρ…ΠΎΠ΄Π½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΎΠΉ, Ρ‚ΠΎ названия основных Π²Ρ…ΠΎΠ΄ΠΎΠ² пСрСносятся Π½Π° всю схСму Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°.

Π’Ρ…ΠΎΠ΄Ρ‹ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² Ρ€Π°Π·Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π½Π° ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ (R, S, T ΠΈ Π΄Ρ€.) ΠΈ ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠ΅ (Π‘, V). Π˜Π½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ Π²Ρ…ΠΎΠ΄Ρ‹ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Ρ‹ для ΠΏΡ€ΠΈΠ΅ΠΌΠ° сигналов Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°Π΅ΠΌΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Названия Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов ΠΎΡ‚ΠΎΠΆΠ΄Π΅ΡΡ‚Π²Π»ΡΡŽΡ‚ с названиями Π²Ρ…ΠΎΠ΄ΠΎΠ² Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°. Π£ΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠ΅ Π²Ρ…ΠΎΠ΄Ρ‹ слуТат для управлСния записью ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Π’ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°Ρ… ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π΄Π²Π° Π²ΠΈΠ΄Π° ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… сигналов:

На V-Π²Ρ…ΠΎΠ΄Ρ‹ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΏΠΎΡΡ‚ΡƒΠΏΠ°ΡŽΡ‚ сигналы, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Ρ€Π°Π·Ρ€Π΅ΡˆΠ°ΡŽΡ‚ (V=1) ΠΈΠ»ΠΈ Π·Π°ΠΏΡ€Π΅Ρ‰Π°ΡŽΡ‚ (V=0) запись ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Π’ синхронных Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°Ρ… с V-Π²Ρ…ΠΎΠ΄ΠΎΠΌ запись ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Π° ΠΏΡ€ΠΈ совпадСнии сигналов Π½Π° ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½ΠΎΠΌ Π‘ ΠΈ V-Π²Ρ…ΠΎΠ΄Π°Ρ….

ΠšΡ€ΠΎΠΌΠ΅ Ρ‚Π°Π±Π»ΠΈΡ‡Π½ΠΎΠ³ΠΎ опрСдСлСния Ρ€Π°Π±ΠΎΡ‚Ρ‹ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° сущСствуСт Ρ„ΠΎΡ€ΠΌΡƒΠ»ΡŒΠ½ΠΎΠ΅ Π·Π°Π΄Π°Π½ΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π² ΡΠ΅ΠΊΠ²Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠ΅. НапримСр, Ρ„ΡƒΠ½ΠΊΡ†ΠΈΡŽ RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π² ΡΠ΅ΠΊΠ²Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠ΅ прСдставляСт Ρ„ΠΎΡ€ΠΌΡƒΠ»Π° ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. АналитичСская запись SR-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° выглядит Ρ‚Π°ΠΊ: ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€.

Π’ΠΈΠΏΡ‹ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ²

RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹

RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ асинхронный

SRQ(t)Q (t)Q(t+1)Q (t+1)
000101
001010
010101
011001
100110
101010
1101Π½Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΎΠ½Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΎ
1110Π½Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΎΠ½Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΎ

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠŸΡ€ΠΈ ΠΏΠΎΠ΄Π°Ρ‡Π΅ Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹ Π½Π° Π²Ρ…ΠΎΠ΄ S (ΠΎΡ‚ Π°Π½Π³Π». Set β€” ΡƒΡΡ‚Π°Π½ΠΎΠ²ΠΈΡ‚ΡŒ) Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ΅ состояниС становится Ρ€Π°Π²Π½Ρ‹ΠΌ логичСской Π΅Π΄ΠΈΠ½ΠΈΡ†Π΅. А ΠΏΡ€ΠΈ ΠΏΠΎΠ΄Π°Ρ‡Π΅ Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹ Π½Π° Π²Ρ…ΠΎΠ΄ R (ΠΎΡ‚ Π°Π½Π³Π». Reset β€” ΡΠ±Ρ€ΠΎΡΠΈΡ‚ΡŒ) Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ΅ состояниС становится Ρ€Π°Π²Π½Ρ‹ΠΌ логичСскому Π½ΡƒΠ»ΡŽ. БостояниС, ΠΏΡ€ΠΈ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΌ Π½Π° ΠΎΠ±Π° Π²Ρ…ΠΎΠ΄Π° R ΠΈ S ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ ΠΏΠΎΠ΄Π°Π½Ρ‹ логичСскиС Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹, Π² ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠΈΡ… рСализациях являСтся Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘Π½Π½Ρ‹ΠΌ (Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ Π²Π²ΠΎΠ΄ΠΈΡ‚ схСму Π² Ρ€Π΅ΠΆΠΈΠΌ Π³Π΅Π½Π΅Ρ€Π°Ρ†ΠΈΠΈ), Π² Π±ΠΎΠ»Π΅Π΅ слоТных рСализациях RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² Ρ‚Ρ€Π΅Ρ‚ΡŒΠ΅ состояниС Q Q =00. ΠžΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ΅ снятиС Π΄Π²ΡƒΡ… Β«1Β» практичСски Π½Π΅Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎ. ΠŸΡ€ΠΈ снятии ΠΎΠ΄Π½ΠΎΠΉ ΠΈΠ· Β«1Β» RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² состояниС, опрСдСляСмоС ΠΎΡΡ‚Π°Π²ΡˆΠ΅ΠΉΡΡ Β«1Β». Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈ состояния, ΠΈΠ· ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π΄Π²Π° устойчивых (ΠΏΡ€ΠΈ снятии сигналов управлСния RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ остаётся Π² установлСнном состоянии) ΠΈ ΠΎΠ΄Π½ΠΎ нСустойчивоС (ΠΏΡ€ΠΈ снятии сигналов управлСния RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ Π½Π΅ остаётся Π² установлСнном состоянии, Π° ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² ΠΎΠ΄Π½ΠΎ ΠΈΠ· Π΄Π²ΡƒΡ… устойчивых состояний).

RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ для создания сигнала с ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ ΠΈ ΠΎΡ‚Ρ€ΠΈΡ†Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ Ρ„Ρ€ΠΎΠ½Ρ‚Π°ΠΌΠΈ, ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎ управляСмыми посрСдством стробов, разнСсённых Π²ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ. Π’Π°ΠΊΠΆΠ΅ RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ часто ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ для ΠΈΡΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Ρ‚Π°ΠΊ Π½Π°Π·Ρ‹Π²Π°Π΅ΠΌΠΎΠ³ΠΎ явлСния Π΄Ρ€Π΅Π±Π΅Π·Π³Π° ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚ΠΎΠ².

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

УсловноС графичСскоС ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ асинхронного RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°

Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹. Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ – это устройство, ΠΈΠΌΠ΅ΡŽΡ‰Π΅Π΅ Π΄Π²Π° устойчивых состояния, способноС ΠΏΠΎΠ΄ воздСйствиСм ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰Π΅Π³ΠΎ сигнала скачком ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ΡŒ ΠΈΠ· ΠΎΠ΄Π½ΠΎΠ³ΠΎ состояния Π² Π΄Ρ€ΡƒΠ³ΠΎΠ΅ ΠΈ

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ – это устройство, ΠΈΠΌΠ΅ΡŽΡ‰Π΅Π΅ Π΄Π²Π° устойчивых состояния, способноС ΠΏΠΎΠ΄ воздСйствиСм ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰Π΅Π³ΠΎ сигнала скачком ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ΡŒ ΠΈΠ· ΠΎΠ΄Π½ΠΎΠ³ΠΎ состояния Π² Π΄Ρ€ΡƒΠ³ΠΎΠ΅ ΠΈ Ρ…Ρ€Π°Π½ΠΈΡ‚ΡŒ это состояниС сколь ΡƒΠ³ΠΎΠ΄Π½ΠΎ Π΄ΠΎΠ»Π³ΠΎ. Π‘ΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ Ρ…Ρ€Π°Π½ΠΈΡ‚ΡŒ состояниС сколь ΡƒΠ³ΠΎΠ΄Π½ΠΎ Π΄ΠΎΠ»Π³ΠΎ ΠΈ опрСдСляСт «ΠΏΠ°ΠΌΡΡ‚ΡŒ» Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°.

— ΠΏΠΎ числу ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… Π²Ρ…ΠΎΠ΄ΠΎΠ²: с ΠΎΠ΄Π½ΠΈΠΌ Π²Ρ…ΠΎΠ΄ΠΎΠΌ, с двумя Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ ΠΈ Π±ΠΎΠ»Π΅Π΅;

— ΠΏΠΎ ΠΌΠΎΠΌΠ΅Π½Ρ‚Ρƒ срабатывания: асинхронныС ΠΈ синхронныС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹;

— ΠΏΠΎ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΌΡƒ Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΡŽ:

Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ с Ρ€Π°Π·Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΌ запуском (RS-Ρ‚ΠΈΠΏΠ°),

счСтныС (Π’-Ρ‚ΠΈΠΏΠ°), ΠΊΠΎΠΌΠ±ΠΈΠ½ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Π΅ (RST-Ρ‚ΠΈΠΏΠ°), ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Π΅ (JK-Ρ‚ΠΈΠΏΠ°), Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ (D-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€) ΠΈ Π΄Ρ€.;

— ΠΏΠΎ Ρ‚ΠΈΠΏΡƒ Π²Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ воздСйствия: Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ со статичСскими Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ с динамичСскими Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ.

БтатичСскиС Π²Ρ…ΠΎΠ΄Ρ‹ – это Ρ‚Π°ΠΊΠΈΠ΅, ΠΏΠΎ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΌ Π²Ρ…ΠΎΠ΄Π½ΠΎΠΉ сигнал ΠΎΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚ своС воздСйствиС Π² Ρ‚Π΅Ρ‡Π΅Π½ΠΈΠ΅ всСй Π΅Π³ΠΎ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ. ΠŸΡ€ΠΈ динамичСских Π²Ρ…ΠΎΠ΄Π°Ρ… сигнал воздСйствуСт Π½Π° Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π½Π° Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ Ρ„Ρ€ΠΎΠ½Ρ‚Π° ΠΈΠ»ΠΈ срСза.

ВсС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΈΠΌΠ΅ΡŽΡ‚ Π΄Π²Π° Π²Ρ‹Ρ…ΠΎΠ΄Π° – прямой «Q» ΠΈ инвСрсный «ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€«. Π˜Π½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡ Π½Π° ΠΎΠ΄Π½ΠΎΠΌ Π²Ρ‹Ρ…ΠΎΠ΄Π΅ являСтся инвСрсиСй ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ Π½Π° Π΄Ρ€ΡƒΠ³ΠΎΠΌ. Π’ основу построСния Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ² ΠΏΠΎΠ»ΠΎΠΆΠ΅Π½ΠΎ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ логичСских элСмСнтов «Π˜Π›Π˜-НЕ» ΠΈΠ»ΠΈ «Π˜-НЕ» ΠΈ ΠΎΠ±Ρ€Π°Ρ‚Π½Ρ‹Ρ… связСй.

Π‘Ρ…Π΅ΠΌΠ° RS Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π½Π° элСмСнтах «Π˜Π›Π˜-НЕ» ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Π° Π½Π° рис.18.1Π°. На рис. 18.1Π± ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π΅Π³ΠΎ условноС ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅. Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ схСмой.

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ триггСрВходыпрямой Π²Ρ‹Ρ…ΠΎΠ΄
SRQn+1
Qn
НСопрСдС-Π»Π΅Π½Π½ΠΎΡΡ‚ΡŒ

осущСствляСтся ΠΏΠΎ ΡƒΡ€ΠΎΠ²Π½ΡŽ логичСской «1». Π­Ρ‚ΠΎ Π·Π½Π°Ρ‡ΠΈΡ‚, Ρ‡Ρ‚ΠΎ ΠΊΠΎΠ³Π΄Π° Π½Π° Π²Ρ…ΠΎΠ΄Π°Ρ… присутствуСт «0», Ρ‚. Π΅. ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π½Π΅ мСняСтся. Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ «0» являСтся Π½Π΅ΠΉΡ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΌ.

ΠŸΠ΅Ρ€Π΅Π΄ Π°Π½Π°Π»ΠΈΠ·ΠΎΠΌ Ρ€Π°Π±ΠΎΡ‚Ρ‹ схСмы ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅ΠΌ логичСскиС дСйствия элСмСнта «Π˜Π›Π˜-НЕ»:

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€(18.1)

ΠŸΡƒΡΡ‚ΡŒ послС Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ питания Π½Π° Π²Ρ…ΠΎΠ΄Π°Ρ… ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄Π°Ρ… схСмы ΡƒΡΡ‚Π°Π½ΠΎΠ²ΠΈΠ»ΠΈΡΡŒ состояния: R = 0; S = 0; Q = 1; ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ «1» с Π²Ρ‹Ρ…ΠΎΠ΄Π° Q поступаСт Π½Π° Π²Ρ…ΠΎΠ΄ Π‘ элСмСнта Π­2. На Π²Ρ…ΠΎΠ΄Π΅ Π’ ΠΏΠΎ ΡƒΡΠ»ΠΎΠ²ΠΈΡŽ присутствуСт «0». Богласно (18.1) Π²Ρ…ΠΎΠ΄Π½Ρ‹Π΅ сигналы Π­2 ΡΡ„ΠΎΡ€ΠΌΠΈΡ€ΡƒΡŽΡ‚ Π½Π° Π΅Π³ΠΎ Π²Ρ‹Ρ…ΠΎΠ΄Π΅ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ логичСского «0».

Π­Ρ‚ΠΎΡ‚ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ поступаСт Π½Π° Π²Ρ…ΠΎΠ΄ D элСмСнта Π­1. На Π²Ρ…ΠΎΠ΄Π΅ А этого элСмСнта Ρ‚Π°ΠΊΠΆΠ΅ присутствуСт «0». Π’Π°ΠΊΠΈΠ΅ состояния, согласно (18.1), Ρ„ΠΎΡ€ΠΌΠΈΡ€ΡƒΡŽΡ‚ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ Π­1 ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ логичСской «1». Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ, состояниС ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ элСмСнта ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅Ρ‚ состояниС Π²Ρ‚ΠΎΡ€ΠΎΠ³ΠΎ ΠΈ Π½Π°ΠΎΠ±ΠΎΡ€ΠΎΡ‚, Ρ‚. Π΅. это устойчивоС состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°.

ΠŸΡƒΡΡ‚ΡŒ Π² Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΠΌΠΎΠΌΠ΅Π½Ρ‚ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ t1 Π½Π° Π²Ρ…ΠΎΠ΄ R поступаСт сигнал с логичСским ΡƒΡ€ΠΎΠ²Π½Π΅ΠΌ «1». Π’Π°ΠΊ ΠΊΠ°ΠΊ Π½Π° Π²Ρ…ΠΎΠ΄Π΅ D Π­1 Π² это врСмя присутствуСт ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ «0», Ρ‚ΠΎ, согласно (18.1), ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ Π²Ρ‹Ρ…ΠΎΠ΄Π° Π­1 скачком измСнится с «1» Π΄ΠΎ «0», Ρ‚. Π΅. Q = 0. Π’Π΅ΠΏΠ΅Ρ€ΡŒ Π½Π° Π²Ρ…ΠΎΠ΄Ρ‹ Π‘ ΠΈ Π’ элСмСнта Π­2 воздСйствуСт ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ логичСского «0». ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ Π²Ρ‹Ρ…ΠΎΠ΄ Π­2 скачком измСняСт ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ ΠΎΡ‚ «0» Π΄ΠΎ «1», Ρ‚. Π΅. ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€.

НовоС состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Ρ‚Π°ΠΊ ΠΆΠ΅ устойчивоС. Оно Π½Π΅ измСнится, ΠΊΠΎΠ³Π΄Π° Π½Π° Π²Ρ…ΠΎΠ΄ R Π±ΡƒΠ΄Π΅Ρ‚ Π²ΠΎΠ·Π΄Π΅ΠΉΡΡ‚Π²ΠΎΠ²Π°Ρ‚ΡŒ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ логичСского «0». ΠŸΡ€ΠΈ поступлСнии Π½Π° Π²Ρ…ΠΎΠ΄ R Π½ΠΎΠ²Ρ‹Ρ… «1» состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° останСтся ΠΏΡ€Π΅ΠΆΠ½ΠΈΠΌ. Оно измСнится Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² Ρ‚ΠΎΠΌ случаС, ΠΊΠΎΠ³Π΄Π° ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ «1» поступит Π½Π° Π²Ρ…ΠΎΠ΄ S. Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ, RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ управляСтся ΠΏΠΎΠΎΡ‡Π΅Ρ€Π΅Π΄Π½ΠΎ ΠΏΠΎ Π΄Π²ΡƒΠΌ Π²Ρ…ΠΎΠ΄Π°ΠΌ.

Π’Π°Π±Π»ΠΈΡ†Π° Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Ρ‹Ρ… состояний Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Π° Π½Π° рис. 18.1Π². ΠŸΡ€ΠΈ отсутствии Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ сохраняСт ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡŽ ΠΎ послСднСй ΠΈΠ· ΠΏΠΎΡΡ‚ΡƒΠΏΠΈΠ²ΡˆΠΈΡ… ΠΊΠΎΠΌΠ°Π½Π΄, Ρ‚. Π΅. слуТит элСмСнтом памяти. Π‘ΠΎΡ‡Π΅Ρ‚Π°Π½ΠΈΠ΅ Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ триггСрявляСтся нСдопустимым. ΠŸΡ€ΠΈ Ρ‚Π°ΠΊΠΎΠΌ сочСтании Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΏΡ€ΠΈΠ½ΡΡ‚ΡŒ любоС состояниС. ΠŸΠΎΡ‚ΠΎΠΌΡƒ ΠΎΠ½ΠΎ Π½Π΅ примСняСтся.

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€
Π‘Ρ…Π΅ΠΌΠ° RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π½Π° элСмСнтах «Π˜-НЕ» ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Π° Π½Π° рис. 18.2. На рис. 18.1Π± ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π΅Π³ΠΎ условноС ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅. БобствСнно Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ собран Π½Π° элСмСнтах Π­3 ΠΈ Π­4. Π­Π»Π΅ΠΌΠ΅Π½Ρ‚Ρ‹ Π­1 ΠΈΠ­2 Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡŽΡ‚ Ρ€ΠΎΠ»ΡŒ ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΎΡ€ΠΎΠ². ЛогичСскиС дСйствия для элСмСнтов «Π˜-НЕ» ΠΈΠΌΠ΅ΡŽΡ‚ Π²ΠΈΠ΄:

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€(18.2)

Π˜ΡΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ΠΌ Π΄Π²ΠΎΠΈΡ‡Π½ΠΎΠ³ΠΎ сигнала для элСмСнтов «Π˜-НЕ» являСтся «0», Π½Π΅ΠΉΡ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΌ – «1». Если Π½Π° А ΠΈ Π’ присутствуСт ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ «1», Ρ‚ΠΎ состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° устойчивоС. ΠŸΡƒΡΡ‚ΡŒ, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, А = Π’ = 1, Q = 1, ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ «1» с Π²Ρ‹Ρ…ΠΎΠ΄Π° Q поступаСт Π½Π° Π²Ρ…ΠΎΠ΄ Π‘, Π° Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ Π²Ρ…ΠΎΠ΄ Π’ = 1 ΠΏΠΎ ΡƒΡΠ»ΠΎΠ²ΠΈΡŽ, Ρ‚ΠΎ согласно (18.2) Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ элСмСнта Π­4 формируСтся ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ логичСского «0». Π­Ρ‚ΠΎΡ‚ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ поступаСт Π½Π° Π²Ρ…ΠΎΠ΄ D элСмСнта Π­3. Π’Ρ…ΠΎΠ΄ А этого элСмСнта Ρ€Π°Π²Π΅Π½ «1» ΠΏΠΎ ΡƒΡΠ»ΠΎΠ²ΠΈΡŽ. По (18.2) эти ΡƒΡ€ΠΎΠ²Π½ΠΈ ΡΡ„ΠΎΡ€ΠΌΠΈΡ€ΡƒΡŽΡ‚ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ элСмСнта Π­3 Π»ΠΎΠ³ΠΈΡ‡Π΅ΡΠΊΡƒΡŽ «1». Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ, состояниС элСмСнта Π­3 ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅Ρ‚ состояниС элСмСнта Π­4 ΠΈ Π½Π°ΠΎΠ±ΠΎΡ€ΠΎΡ‚, Ρ‚. Π΅. это устойчивоС состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°.

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

Π‘ΠΎΠ²Π΅Ρ€ΡˆΠ΅Π½Π½ΠΎ Π°Π½Π°Π»ΠΎΠ³ΠΈΡ‡Π½ΠΎ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎ состояниС А = Π’ = 1, Q = 0, ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‚Π°ΠΊ ΠΆΠ΅ устойчиво. Π’ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΎΡ€ΠΎΠ² Π­1 ΠΈ Π­2 позволяСт ΠΈΠ·ΠΌΠ΅Π½ΠΈΡ‚ΡŒ ΠΈΡΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов, Ρ‚. Π΅. для Π²Ρ…ΠΎΠ΄ΠΎΠ² S ΠΈ R ΠΈΡΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ ΡƒΡ€ΠΎΠ²Π½Π΅ΠΌ являСтся «1», Π° Π½Π΅ΠΉΡ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΌ «0». ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Ρ‹Π΅ состояния схСмы рис. 18.2 ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‚ Ρ‚Π°Π±Π»ΠΈΡ†Π΅ рис. 18.1Π². Богласно этой Ρ‚Π°Π±Π»ΠΈΡ†Π΅ состояниС Π²Ρ…ΠΎΠ΄ΠΎΠ² S = R = 0 являСтся Π½Π΅ΠΉΡ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΌ ΠΈ позволяСт Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρƒ ΡΠΎΡ…Ρ€Π°Π½ΡΡ‚ΡŒ ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΎ послСднСй ΠΈΠ· ΠΏΠΎΡΡ‚ΡƒΠΏΠΈΠ²ΡˆΠΈΡ… ΠΊΠΎΠΌΠ°Π½Π΄. Π§Ρ‚ΠΎΠ±Ρ‹ ΠΈΠ·ΠΌΠ΅Π½ΠΈΡ‚ΡŒ состояниС Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠ² Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°, Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π½Π° Π²Ρ…ΠΎΠ΄ S ΠΈΠ»ΠΈ R ΠΏΠΎΠ΄Π°Ρ‚ΡŒ «1». БостояниС S = R = 1 нСдопустимо.

Π’Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΏΠΎ рис. 18.1Π° ΠΈ 18.2 пСрСходят Π² Π½ΠΎΠ²ΠΎΠ΅ состояниС сразу послС поступлСния Π²Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ сигнала ΠΈ поэтому Π½Π°Π·Ρ‹Π²Π°ΡŽΡ‚ΡΡ асинхронными.

Π’ΠΎ ΠΌΠ½ΠΎΠ³ΠΈΡ… устройствах Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΡΠΈΠ½Ρ…Ρ€ΠΎΠ½ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Π²ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ². Π”Π΅Π»ΠΎ Π² Ρ‚ΠΎΠΌ, Ρ‡Ρ‚ΠΎ Π½Π΅ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ΅ ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ ΠΌΠΎΠΆΠ΅Ρ‚ привСсти ΠΊ появлСнию нСпрСдусмотрСнных состояний устройства ΠΈ ΠΊ срыву Π΅Π³ΠΎ Ρ€Π°Π±ΠΎΡ‚Ρ‹. Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠ½Π½Ρ‹Π΅ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ ΠΈΠΌΠ΅ΡŽΡ‚ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π²Ρ…ΠΎΠ΄ для ΠΏΠΎΠ΄Π°Ρ‡ΠΈ Π½Π° Π½Π΅Π³ΠΎ ΡΠΈΠ½Ρ…Ρ€ΠΎΠ½ΠΈΠ·ΠΈΡ€ΡƒΡŽΡ‰Π΅Π³ΠΎ (Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ) ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠΉ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ.

Π‘ΠΈΠ½Ρ…Ρ€ΠΎΠΈΠΌΠΏΡƒΠ»ΡŒΡ своим исходным (Π½ΡƒΠ»Π΅Π²Ρ‹ΠΌ) Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ΠΌ Π±Π»ΠΎΠΊΠΈΡ€ΡƒΠ΅Ρ‚ (Π·Π°ΠΊΡ€Ρ‹Π²Π°Π΅Ρ‚) ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ Π²Ρ…ΠΎΠ΄Ρ‹ S ΠΈ R. Π’ этом случаС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ Π½Π΅ Ρ€Π΅Π°Π³ΠΈΡ€ΡƒΠ΅Ρ‚ Π½Π° Π²Ρ…ΠΎΠ΄Π½Ρ‹Π΅ сигналы, сохраняя ΠΏΡ€Π΅Π΄Ρ‹Π΄ΡƒΡ‰Π΅Π΅ состояниС. Π’Ρ€ΠΈΠ³Π³Π΅Ρ€ воспринимаСт ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡŽ Π½Π° Π²Ρ…ΠΎΠ΄Π°Ρ…, ΠΊΠΎΠ³Π΄Π° Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ синхронного ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° Ρ€Π°Π²Π½ΠΎ «1» ΠΈ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² Π½ΠΎΠ²ΠΎΠ΅ состояниС Π½Π° ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»Π΅ срСза ΡΠΈΠ½Ρ…Ρ€ΠΎΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°.

Π‘Ρ…Π΅ΠΌΠ° синхронного RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Π° Π½Π° рис. 18.3Π°. На рис. 18.3Π± – Π΅Π³ΠΎ условноС ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅. Π’ΠΎ всСх случаях, ΠΊΠΎΠ³Π΄Π° Π‘ = 0 Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π°Ρ… элСмСнтов Π­1 ΠΈ Π­2 ΡƒΡ€ΠΎΠ²Π½ΠΈ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, Ρ‚. Π΅. Π½Π΅ΠΉΡ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ для элСмСнтов Π­3 ΠΈ Π­4 Π½Π΅ зависимо ΠΎΡ‚ состояния Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов S ΠΈ R. Π’ этом ΠΈ Π·Π°ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ эффСкт блокирования Π²Ρ…ΠΎΠ΄ΠΎΠ².

ΠŸΡ€ΠΈ Π‘ = 1 Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π°Ρ… элСмСнтов Π­1 ΠΈ ΠΈΠ­2 сигналы становятся инвСрсными ΠΏΠΎ ΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΡŽ ΠΊ исходным S ΠΈ R. Π˜Ρ… комбинация Π²Ρ‹Π·ΠΎΠ²Π΅Ρ‚ Ρ€Π΅Π°ΠΊΡ†ΠΈΡŽ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° Π² соотвСтствии с Ρ‚Π°Π±Π»ΠΈΡ†Π΅ΠΉ рис. 18.1Π².

— Ссли S = R = 0, Ρ‚ΠΎ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ сохраняСт Β«ΠΏΠ°ΠΌΡΡ‚ΡŒΒ» ΠΎ ΠΏΡ€Π΅Π΄Ρ‹Π΄ΡƒΡ‰Π΅ΠΌ состоянии;

— Ссли S = 1, Π° R = 0, Ρ‚ΠΎ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€; ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² состояниС «1», Ρ‚. Π΅. ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€;

— Ссли S = 0, Π° R = 1, Ρ‚ΠΎ ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² состояниС «0», Ρ‚. Π΅. ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€

ΠŸΡ€ΠΈΠΌΠ΅Ρ€ наглядно ΠΏΠΎΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ для Π²Ρ…ΠΎΠ΄ΠΎΠ² S, R ΠΈ Π‘ ΠΈΡΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ ΡƒΡ€ΠΎΠ²Π½Π΅ΠΌ являСтся «1».

ΠšΡ€ΠΎΠΌΠ΅ синхронных Π²Ρ…ΠΎΠ΄ΠΎΠ² R ΠΈ S синхронный Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ снабТаСтся асинхронными Π²Ρ…ΠΎΠ΄Π°ΠΌΠΈ SA ΠΈ RA. АсинхронныС Π²Ρ…ΠΎΠ΄Ρ‹ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ Π·Π°Π΄Π°Ρ‚ΡŒ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρƒ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ΅ исходноС состояниС ΠΏΠ΅Ρ€Π΅Π΄ Π½Π°Ρ‡Π°Π»ΠΎΠΌ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² синхронном Ρ€Π΅ΠΆΠΈΠΌΠ΅. ΠŸΡ€ΠΈ синхронном ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠΈ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠΌ Π½Π° Π²Ρ…ΠΎΠ΄Π°Ρ… SA ΠΈ RA Π΄ΠΎΠ»ΠΆΠ΅Π½ ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Ρ‚ΡŒΡΡ Π½Π΅ΠΉΡ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΉ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ, Ρ‚. Π΅. «1».

JK-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹ – это ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Π΅ синхронныС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹. Π Π°Π±ΠΎΡ‚Π° JK-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° описываСтся Ρ‚Π°Π±Π»ΠΈΡ†Π΅ΠΉ рис. 18.4Π°. Π’Ρ…ΠΎΠ΄Ρ‹ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. Как ΠΈ RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€, ΠΎΠ½ сохраняСт своС состояниС ΠΏΡ€ΠΈ J = K = 0. Когда J = 1, Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΡ‚ Π² состояниС ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. ΠŸΡ€ΠΈ R = 1 – Π² состояниС ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. ΠŸΡ€ΠΈ J = K = 1 Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ΅ состояниС Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° мСняСтся Π½Π° ΠΏΡ€ΠΎΡ‚ΠΈΠ²ΠΎΠΏΠΎΠ»ΠΎΠΆΠ½ΠΎΠ΅, Ρ‚. Π΅. ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€. Π­Ρ‚ΠΎ основноС ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ JK ΠΎΡ‚ RS-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°.

ΠΊΠ°ΠΊΠΎΠ΅ количСство устойчивых состояний ΠΈΠΌΠ΅Π΅Ρ‚ триггСрУсловноС ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ JK-Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π½Π° рис. 18.4Π±, Π° Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Π΅ Π΄ΠΈΠ°Π³Ρ€Π°ΠΌΠΌΡ‹, ΠΏΠΎΡΡΠ½ΡΡŽΡ‰ΠΈΠ΅ Π΅Π³ΠΎ Ρ€Π°Π±ΠΎΡ‚Ρƒ Π½Π° рис. 18.4Π². Π’ΠΎ врСмя дСйствия Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ

Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ

Π”ΠΎΠ±Π°Π²ΠΈΡ‚ΡŒ ΠΊΠΎΠΌΠΌΠ΅Π½Ρ‚Π°Ρ€ΠΈΠΉ

Π’Π°Ρˆ адрСс email Π½Π΅ Π±ΡƒΠ΄Π΅Ρ‚ ΠΎΠΏΡƒΠ±Π»ΠΈΠΊΠΎΠ²Π°Π½. ΠžΠ±ΡΠ·Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ поля ΠΏΠΎΠΌΠ΅Ρ‡Π΅Π½Ρ‹ *